Jeroen Demeyer on Sun, 09 Feb 2014 16:35:36 +0100


[Date Prev] [Date Next] [Thread Prev] [Thread Next] [Date Index] [Thread Index]

Re: Infinite loop in initprimes0()


On 2014-02-09 16:05, Bill Allombert wrote:
On Sun, Feb 09, 2014 at 02:48:28PM +0100, Jeroen Demeyer wrote:
Dear pari-dev,

When calling initprimes0(), an infinite loop is possible.

Could you give an example ?

gp> install(initprimetable, "vL")
gp> initprimetable(2^20)
Segmentation fault

With the modification I suggested, this does not crash.

Cheers,
Jeroen.